fniConsts128 Module

This module, fniConsts128 contains constant values for modules that deal with 128-bit ints.


Variables

TypeVisibility AttributesNameInitial
integer, public, parameter:: k_int128 =selected_int_kind(38)

An integer value for int128's kind.

integer(kind=k_int128), public, parameter:: czero128 =48_k_int128

An int128 value of the character '0'.

integer(kind=k_int128), public, parameter:: cneg128 =45_k_int128

An int128 value of the character '-'.

integer(kind=k_int128), public, parameter:: cpos128 =43_k_int128

An int128 value of the character '+'.

integer(kind=k_int128), public, parameter:: cspace128 =32_k_int128

An int128 value of space.